Skip to content
Commit abb7a38f authored by Kristof De Jaeger's avatar Kristof De Jaeger
Browse files

Initial commit of Nodes in block module. This module enables the possibility...

Initial commit of Nodes in block module. This module enables the possibility to add nodes in one ore more blocks. It does not expose the node as a new block, an implementation of hook_block calls all nodes when a nodesinblock block is rendered. More explanation and a comparison with other modules will be available on the project page.
parents
0% or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment